Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Lan Vinh
based in Vietnam
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 26 Sep, 2022
"Edit from table cell"
derek cazares
edited on 26 Sep, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Facebook URL
https://www.facebook.com/lan.vinh.1671
Edits on 20 Aug, 2022
"Infobox creation from: https://twitter.com/myphamlan"
Golden AI
edited on 20 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Location
Vietnam
"Edit from table cell"
godwinno feliks
edited on 20 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Twitter URL
https://twitter.com/myphamlan
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-377
properties)
Infobox
Patent primary examiner of
US Patent 11170979 Plasma etching method and plasma etching apparatus
US Patent 11171007 Plasma processing apparatus and plasma etching method
US Patent 7087179 Optical integrated circuits (ICs)
US Patent 7087533 Method for fabricating semiconductor device
US Patent 7091131 Method of forming integrated circuit structures in silicone ladder polymer
US Patent 7091132 Ultrasonic assisted etch using corrosive liquids
US Patent 7094695 Apparatus and method for conditioning a polishing pad used for mechanical and/or chemical-mechanical planarization
US Patent 7094702 Layer-by-layer etching apparatus using neutral beam and method of etching using the same
US Patent 7094704 Method of plasma etching of high-K dielectric materials
US Patent 7101800 Chemical-mechanical polishing slurry and method
US Patent 7105454 Use of ammonia for etching organic low-k dielectrics
US Patent 7105455 Method for fabricating nonvolatile memory device
US Patent 7109128 Semiconductor device and method of manufacturing the same
US Patent 7112285 Conductive core substrate fabrication
US Patent 7112532 Process for forming a dual damascene structure
US Patent 7112535 Precision polysilicon resistor process
US Patent 7112536 Plasma processing system and method
US Patent 7115522 Method for manufacturing semiconductor device
US Patent 7119024 Method and structure for a self-aligned silicided word line and polysilicon plug during the formation of a semiconductor device
US Patent 7122475 Methods for using bi-modal abrasive slurries for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US Patent 7125495 Large area electronic device with high and low resolution patterned film features
US Patent 7129179 Method for topographical patterning of a device
US Patent 7132370 Method for selective removal of high-k material
US Patent 7138064 Semiconductor device and method of manufacturing the same
US Patent 7138654 Chemical-mechanical polishing proximity correction method and correction pattern thereof
US Patent 7141506 Method for evaluating dependence of properties of semiconductor substrate on plane orientation and semiconductor device using the same
US Patent 7141508 Magnetoresistive effect thin-film magnetic head and manufacturing method of magnetoresistive effect thin-film magnetic head
US Patent 7144816 Polysilicon opening polish
US Patent 7151056 Method and apparatus for forming a planarizing pad having a film and texture elements for planarization of microelectronic substrates
US Patent 7151057 Flexible MEMS transducer manufacturing method
US Patent 7153767 Chemical mechanical polishing stopper film, process for producing the same, and method of chemical mechanical polishing
US Patent 7153779 Method to eliminate striations and surface roughness caused by dry etch
US Patent 7157380 Damascene process for fabricating interconnect layers in an integrated circuit
US Patent 7160813 Etch back process approach in dual source plasma reactors
US Patent 7163898 Method for manufacturing semiconductor integrated circuit structures
US Patent 7172707 Sputtered spring films with low stress anisotropy
US Patent 7172977 Method for non-destructive removal of cured epoxy from wafer backside
US Patent 7183220 Plasma etching methods
US Patent 7192874 Method for reducing foreign material concentrations in etch chambers
US Patent 7192886 Method for using additives in the caustic etching of silicon for obtaining improved surface characteristics
US Patent 7196015 Pattern forming method and electric device fabricating method using the same
US Patent 7196016 Fabrication process for preparing recording head sliders made from silicon substrates with SiO
US Patent 7196017 Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US Patent 7196018 Semiconductor etching paste and the use thereof for localized etching of semiconductor substrates
US Patent 7201851 Vacuum processing apparatus and substrate transfer method
US Patent 7202180 Methods of forming semiconductor devices using an etch stop layer
US Patent 7205239 Method of manufacturing semiconductor wafer and method of manufacturing semiconductor device
US Patent 7205243 Process for producing a mask on a substrate
US Patent 7208421 Method and apparatus for production of metal film or the like
US Patent 7208422 Plasma processing method
US Patent 7214623 Planarization system and method using a carbonate containing fluid
US Patent 7220678 Method for etching of a silicon substrate and etching apparatus
US Patent 7220680 Method for photolithography in semiconductor manufacturing
US Patent 7223699 Plasma etch reactor and method
US Patent 7223701 In-situ sequential high density plasma deposition and etch processing for gap fill
US Patent 7223702 Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US Patent 7226865 Process for forming pattern and method for producing liquid crystal display apparatus
US Patent 7226868 Method of etching high aspect ratio features
US Patent 7229925 Methods of forming a pattern for a semiconductor device
US Patent 7229930 Selective etching of low-k dielectrics
US Patent 7232526 Method and apparatus for controlling material removal from semiconductor substrate using induced current endpointing
US Patent 7232528 Surface treatment agent for copper and copper alloy
US Patent 7241699 Wide bandgap semiconductor device construction
US Patent 7244681 Methods for selective removal of material from wafer alignment marks
US Patent 7247256 CMP slurry for forming aluminum film, CMP method using the slurry, and method for forming aluminum wiring using the CMP method
US Patent 7247566 CMP method for copper, tungsten, titanium, polysilicon, and other substrates using organosulfonic acids as oxidizers
US Patent 7247573 Process for forming tapered trenches in a dielectric material
US Patent 7247576 Method of manufacturing a semiconductor device
US Patent 7253117 Methods for use of pulsed voltage in a plasma reactor
US Patent 7256133 Method of manufacturing a semiconductor device
US Patent 7264743 Fin structure formation
US Patent 7268080 Method for printing contacts on a substrate
US Patent 7268084 Method for treating a substrate
US Patent 7268086 Method for reducing critical dimension and semiconductor etching method
US Patent 7271103 Surface treated low-k dielectric as diffusion barrier for copper metallization
US Patent 7271104 Method for dry etching fluid feed slots in a silicon substrate
US Patent 7271105 Method for making a micro-fluid ejection device
US Patent 7271106 Critical dimension control for integrated circuits
US Patent 7273815 Etch features with reduced line edge roughness
US Patent 7276451 Method for manufacturing semiconductor device
US Patent 7279426 Like integrated circuit devices with different depth
US Patent 7279428 Method of preventing photoresist residues
US Patent 7285499 Polymer spacers for creating sub-lithographic spaces
US Patent 7288008 Nonlithographic method of defining geometries for plasma and/or ion implantation treatments on a semiconductor wafer
US Patent 7288206 High-purity alkali etching solution for silicon wafers and use thereof
US Patent 7288485 Device and method for anisotropic plasma etching of a substrate, particularly a silicon element
US Patent 7288489 Process for thinning a semiconductor workpiece
US Patent 7296532 Bypass gas feed system and method to improve reactant gas flow and film deposition
US Patent 7297637 Use of pulsed grounding source in a plasma reactor
US Patent 7300884 Pattern forming method, underlayer film forming composition, and method of manufacturing semiconductor device
US Patent 7303998 Plasma processing method
US Patent 7309654 Technique for reducing etch damage during the formation of vias and trenches in interlayer dielectrics
US Patent 7309657 Circuit board, liquid discharge apparatus, and method of manufacturing the circuit board
US Patent 7311503 Micromachined fluidic device and method for making same
US Patent 7311851 Apparatus and method for reactive atom plasma processing for material deposition
US Patent 7312158 Method of forming pattern
US Patent 7312486 Stripe board dummy metal for reducing coupling capacitance
US Patent 7314834 Semiconductor device fabrication method
US Patent 7316979 Method and apparatus for providing an integrated active region on silicon-on-insulator devices
US Patent 7316980 Method for forming ferrocapacitors and FeRAM devices
US Patent 7320941 Plasma stabilization method and plasma apparatus
US Patent 7323416 Method and composition for polishing a substrate
US Patent 7329910 Semiconductor substrates and field effect transistor constructions
US Patent 7332104 Slurry for CMP, polishing method and method of manufacturing semiconductor device
US Patent 7335600 Method for removing photoresist
US Patent 7335602 Charge-free layer by layer etching of dielectrics
US Patent 7338904 Method for manufacturing single-side mirror surface wafer
US Patent 7338908 Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US Patent 7338911 Method for etching and for forming a contact hole using thereof
US Patent 7344989 CMP wafer contamination reduced by insitu clean
US Patent 7348276 Fabrication process of semiconductor device and polishing method
US Patent 7348279 Method of making an integrated circuit, including forming a contact
US Patent 7354865 Method for removal of pattern resist over patterned metal having an underlying spacer layer
US Patent 7354870 Process for chemical etching of parts fabricated by stereolithography
US Patent 7361609 Mask patterns for semiconductor device fabrication and related methods
US Patent 11183391 Method for real time monitoring semiconductor fabrication process
US Patent 7365018 Fabrication of semiconductor device for flash memory with increased select gate width
US Patent 7368388 Cerium oxide abrasives for chemical mechanical polishing
US Patent 7368392 Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US Patent 7368397 Method for monitoring edge bead removal process of copper metal interconnection
US Patent 7375034 Recessing trench to target depth using feed forward data
US Patent 7375038 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US Patent 7377991 Ultrasonic assisted etch using corrosive liquids
US Patent 7378352 Method of fabricating semiconductor device
US Patent 7378353 High selectivity BPSG to TEOS etchant
US Patent 7384870 Method for manufacturing glass substrate
US Patent 7384876 Method and apparatus for determining consumable lifetime
US Patent 7384877 Technique for reducing silicide defects by reducing deleterious effects of particle bombardment prior to silicidation
US Patent 7387968 Batch photoresist dry strip and ash system and process
US Patent 7396430 Apparatus and method for confined area planarization
US Patent 7396482 Post exposure resist bake
US Patent 7396484 Methods of fabricating complex blade geometries from silicon wafers and strengthening blade geometries
US Patent 7396768 Copper damascene chemical mechanical polishing (CMP) for thin film head writer fabrication
US Patent 7399713 Selective treatment of microelectric workpiece surfaces
US Patent 7402521 Method for chemically mechanically polishing organic film, method of manufacturing semiconductor device, and program therefor
US Patent 7402522 Hard mask structure for deep trenched super-junction device
US Patent 7404909 Mirror including dielectric portions and a method of manufacturing the same
US Patent 7405163 Selectively accelerated plating of metal features
US Patent 7410908 Manufacturing method for a semiconductor device
US Patent 7413993 Process for removing a residue from a metal structure on a semiconductor substrate
US Patent 7416990 Method for patterning low dielectric layer of semiconductor device
US Patent 7416991 High resolution patterning of surface energy utilizing high resolution monomolecular resist for fabrication of patterned media masters
US Patent 7425512 Method for etching a substrate and a device formed using the method
US Patent 7429537 Methods and apparatus for rinsing and drying
US Patent 7435354 Treatment method for surface of photoresist layer and method for forming patterned photoresist layer
US Patent 7435689 Process for fabricating electron emitting device, electron source, and image display device
US Patent 7439190 Fabrication method of semiconductor device
US Patent 7446049 Method for fabricating semiconductor device using amorphous carbon layer as sacrificial hard mask
US Patent 7452824 Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US Patent 7465405 Method of fabricating printheads having multiple nozzle assemblies
US Patent 7473377 Plasma processing method
US Patent 7476624 Dry-etching method
US Patent 7479461 Method of etching silicon anisotropically
US Patent 7485579 Method of manufacturing a semiconductor device
US Patent 7488428 Method for forming stacked via-holes in printed circuit boards
US Patent 7491649 Plasma processing apparatus
US Patent 7494929 Automatic gain control
US Patent 7510668 Low cost antenna array fabrication technology
US Patent 7510978 Method for forming mask for using dry-etching and method for forming fine structure pattern
US Patent 7514364 Hydrophilicity treatment method of a silicon wafer
US Patent 11187836 Method of building a 3D functional optical material layer stacking structure
US Patent 7517802 Method for reducing foreign material concentrations in etch chambers
US Patent 7517810 Reduced metal design rules for power devices
US Patent 7517811 Method for fabricating a floating gate of flash rom
US Patent 7524429 Method of manufacturing double-sided printed circuit board
US Patent 7524767 Method for manufacturing a micro-electro-mechanical structure
US Patent 7531100 Method of making a fuel cell component using an easily removed mask
US Patent 7531104 Micro-optic elements and method for making the same
US Patent 7531463 Fabrication of semiconductor interconnect structure
US Patent 7538041 Magnetic recording medium, method of manufacturing the same, and intermediate for magnetic recording medium
US Patent 7544304 Process and system for quality management and analysis of via drilling
US Patent 7547398 Self-aligned process for fabricating imprint templates containing variously etched features
US Patent 7547642 Micro-structure manufacturing method
US Patent 7553428 Method of fabricating spacers and method of installing spacers in flat panel device
US Patent 7553768 Substrate and a method for polishing a substrate
US Patent 7560391 Forming of trenches or wells having different destinations in a semiconductor substrate
US Patent 7563723 Critical dimension control for integrated circuits
US Patent 7569490 Electrochemical etching
US Patent 7569491 Method for enlarging a nano-structure
US Patent 7572736 Method of dry-etching semiconductor devices
US Patent 7578943 Liquid discharge head and producing method therefor
US Patent 7582569 Distributor and distributing method, plasma processing system and method, and process for fabricating LCD
US Patent 7582570 Compositions for removal of processing byproducts and method for using same
US Patent 7585421 Method of producing a sheet comprising through pores and the application thereof in the production of micronic and submicronic filters
US Patent 7585422 Optical element manufacturing method
US Patent 7585779 Fabrication method of semiconductor device
US Patent 7589023 Method of manufacturing semiconductor wafer
US Patent 7595004 Ink jet printhead and relative manufacturing process
US Patent 7598175 Apparatus and method for confined area planarization
US Patent 7605089 Method of manufacturing an electronic device
US Patent 7608548 Method for cleaning a multilayer substrate and method for bonding substrates and method for producing a bonded wafer
US Patent 7611993 Plasma processing method and plasma processing apparatus
US Patent 7611995 Method for removing silicon oxide film and processing apparatus
US Patent 7615162 Printed wiring board and method for manufacturing the same
US Patent 7618515 Focus ring, plasma etching apparatus and plasma etching method
US Patent 7622048 Sacrificial substrate for etching
US Patent 7625824 Process change detection through the use of evolutionary algorithms
US Patent 7625826 Method of manufacturing a semiconductor device and an apparatus for use in such a method
US Patent 7632418 Method for forming note pads with shaped edges
US Patent 7635650 Prevention of plasma induced damage arising from etching of crack stop trenches in multi-layered low-k semiconductor devices
US Patent 7642194 Method for etching and apparatus for etching
US Patent 7642195 Hydrogen treatment to improve photoresist adhesion and rework consistency
US Patent 7642198 Method for evaluating crystal defects of silicon wafer
US Patent 7645356 Method of processing wafers with resonant heating
US Patent 7645704 Methods and apparatus of etch process control in fabrications of microstructures
US Patent 7648641 Method and apparatus for creating a topographically patterned substrate
US Patent 7651948 Pre-cleaning of substrates in epitaxy chambers
US Patent 7651949 Method of manufacturing a semiconductor device
US Patent 7655572 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
US Patent 7658858 Band filter using film bulk acoustic resonator and method of fabricating the same
US Patent 7659210 Nano-crystal etch process
US Patent 7662723 Methods and apparatus for in-situ substrate processing
US Patent 7670956 Beam-induced etching
US Patent 7678706 Method of manufacturing a semiconductor device
US Patent 7682982 Plasma processing apparatus and control method thereof
US Patent 7682984 Interferometer endpoint monitoring device
US Patent 7682986 Ultra-high aspect ratio dielectric etch
US Patent 7687401 Substantially spherical composite ceria/titania particles
US Patent 7687405 High resolution patterning of surface energy utilizing high resolution monomolecular resist for fabrication of patterned media masters
US Patent 7691276 Method for manufacturing an electrical connecting element, and a connecting element
US Patent 7691753 Deposition-selective etch-deposition process for dielectric film gapfill
US Patent 7691754 Method for removing photoresist layer and method of forming opening
US Patent 7696094 Method for improved planarization in semiconductor devices
US Patent 7696095 Auto-stopping slurries for chemical-mechanical polishing of topographic dielectric silicon dioxide
US Patent 7696103 Method for purifying silicon carbide coated structures
US Patent 7696104 Mirror package and method of manufacturing the mirror package
US Patent 7700489 Method of manufacturing a semiconductor device
US Patent 7700494 Low-pressure removal of photoresist and etch residue
US Patent 7704614 Process for fabricating patterned magnetic recording media
US Patent 7704889 Method and system for advanced process control in an etch system by gas flow control on the basis of CD measurements
US Patent 7704890 Method for fabricating thin film transistor and pixel structure
US Patent 7713377 Apparatus and method for plasma treating a substrate
US Patent 7713880 Recessing trench to target depth using feed forward data
US Patent 7718032 Dry non-plasma treatment system and method of using
US Patent 7718078 Manufacturing method of circuit board
US Patent 7718537 Method for manufacturing a CBRAM semiconductor memory
US Patent 7718538 Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US Patent 7718539 Method for photomask fabrication utilizing a carbon hard mask
US Patent 7718542 Low-k damage avoidance during bevel etch processing
US Patent 7718543 Two step etching of a bottom anti-reflective coating layer in dual damascene application
US Patent 7723234 Method for selective CMP of polysilicon
US Patent 7732340 Method for adjusting a critical dimension in a high aspect ratio feature
US Patent 7732342 Method to increase the compressive stress of PECVD silicon nitride films
US Patent 7732346 Wet cleaning process and method for fabricating semiconductor device using the same
US Patent 7736530 CMP slurry and method for polishing semiconductor wafer using the same
US Patent 7737042 Pulsed-plasma system for etching semiconductor structures
US Patent 7741229 Method for manufacturing magnetic recording medium
US Patent 7741230 Highly-selective metal etchants
US Patent 7749914 Plasma etching method
US Patent 7754098 Chemical-mechanical polishing composition and method for using the same
US Patent 7754615 Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US Patent 7754616 Semiconductor device and method of manufacturing the same
US Patent 7759254 Method for forming impurity-introduced layer, method for cleaning object to be processed apparatus for introducing impurity and method for producing device
US Patent 7759258 Method for making solar cell
US Patent 7763546 Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US Patent 7767054 Plasma processing apparatus
US Patent 7776746 Method and apparatus for ultra thin wafer backside processing
US Patent 7776748 Selective-redeposition structures for calibrating a plasma process
US Patent 7776753 Method of fabricating semiconductor devices employing at least one modulation doped quantum well structure and one or more etch stop layers for accurate contact formation
US Patent 7781343 Semiconductor substrate having a protection layer at the substrate back side
US Patent 7781348 Method of forming an organic light-emitting display with black matrix
US Patent 7785487 Polymeric barrier removal polishing slurry
US Patent 7786019 Multi-step photomask etching with chlorine for uniformity control
US Patent 7794613 Method of fabricating printhead having hydrophobic ink ejection face
US Patent 7794617 Plasma etching method, plasma processing apparatus, control program and computer readable storage medium
US Patent 7794798 Method for depositing films using gas cluster ion beam processing
US Patent 7795152 Methods of making self-aligned nano-structures
US Patent 7795153 Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US Patent 7799688 Polishing fluid and method of polishing
US Patent 7799689 Method and apparatus for chemical mechanical polishing including first and second polishing
US Patent 7799694 Methods of forming semiconductor constructions
US Patent 7799698 Deposition-selective etch-deposition process for dielectric film gapfill
US Patent 7803281 Selective bonding for forming a microvalve
US Patent 7803716 Fabrication method of semiconductor device
US Patent 7807062 Electron induced chemical etching and deposition for local circuit repair
US Patent 7807064 Halogen-free amorphous carbon mask etch having high selectivity to photoresist
US Patent 7807579 Hydrogen ashing enhanced with water vapor and diluent gas
US Patent 7807580 Triple poly-si replacement scheme for memory devices
US Patent 7807581 Plasma processing apparatus and plasma processing method
US Patent 7807583 High aspect ratio via etch
US Patent 7811639 Apparatus and process for paint or lacquer coating of a metal sheet capable of coiling
US Patent 7811640 Methods for fabricating polymer optical waveguides on large area panels
US Patent 7815812 Method for controlling a process for fabricating integrated devices
US Patent 7815814 Method and system for dry etching a metal nitride
US Patent 7815815 Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon
US Patent 7815977 Process for depositing a thin layer and product obtained thereby
US Patent 7820244 Method of forming a layer and method of removing reaction by-products
US Patent 7824564 Method for flattening glass substrate
US Patent 7824738 Coatings for turbine blades
US Patent 7825034 Method of fabricating openings and contact holes
US Patent 7828984 Imprint method, imprint apparatus, and process for producing chip
US Patent 7828987 Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US Patent 7829469 Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US Patent 7829471 Cluster tool and method for process integration in manufacturing of a photomask
US Patent 7833381 Optical emission interferometry for PECVD using a gas injection hole
US Patent 7833430 Method for fabricating microstructure and microstructure
US Patent 7833908 Slurry composition for chemical-mechanical polishing capable of compensating nanotopography effect and method for planarizing surface of semiconductor device using the same
US Patent 7838430 Plasma control using dual cathode frequency mixing
US Patent 7838432 Etch process with controlled critical dimension shrink
US Patent 7838433 Cluster tool and method for process integration in manufacturing of a photomask
US Patent 7838434 Method of plasma etching of high-K dielectric materials
US Patent 7842191 CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
US Patent 7842193 Polishing liquid
US Patent 7846344 Method and device for modulating light
US Patent 7846346 Processing apparatus and method
US Patent 7846349 Solution for the selective removal of metal from aluminum substrates
US Patent 7846848 Cluster tool with integrated metrology chamber for transparent substrates
US Patent 7850862 Textured surface having undercut micro recesses in a surface
US Patent 7850864 Plasma treating apparatus and plasma treating method
US Patent 7850866 Etchant and array substrate having copper lines etched by the etchant
US Patent 7851364 Method for forming pattern in semiconductor device
US Patent 7851368 Methods and apparatus for igniting a low pressure plasma
US Patent 7851375 Alkaline etchant for controlling surface roughness of semiconductor wafer
US Patent 7854853 Nano fabrication method for glass
US Patent 7855150 Plasma system and method for anisotropically etching structures into a substrate
US Patent 7867405 Process for producing microfluidic arrangements from a plate-shaped composite structure
US Patent 7871678 Method of increasing the reactivity of a precursor in a cyclic deposition process
US Patent 7875195 Thick porous anodic alumina films and nanowire arrays grown on a solid substrate
US Patent 7875555 Method for plasma processing over wide pressure range
US Patent 7879255 Method and composition for electrochemically polishing a conductive material on a substrate
US Patent 7879731 Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US Patent 7883635 Substrate treating apparatus and method
US Patent 7884024 Apparatus and method for optical interference fringe based integrated circuit processing
US Patent 7884025 Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US Patent 7884026 Method of fabricating dual damascene structure
US Patent 7884028 Method of removing material layer and remnant metal
US Patent 7887715 Chemical mechanical polishing slurry composition including non-ionized, heat activated nano-catalyst and polishing method using the same
US Patent 7888685 High purity silicon carbide structures
US Patent 7892443 Method of manufacturing member with concave portions, member with concave portions, lens substrate, transmission type screen and rear projection
US Patent 7892980 Apparatus and a method for controlling the depth of etching during alternating plasma etching of semiconductor substrates
US Patent 7892982 Method for forming fine patterns of a semiconductor device using a double patterning process
US Patent 7897008 Apparatus and method for regional plasma control
US Patent 7897059 High tin solder etching solution
US Patent 7897061 Compositions and methods for CMP of phase change alloys
US Patent 7902080 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US Patent 7906038 Aqueous polishing liquid and chemical mechanical polishing method
US Patent 7910489 Infinitely selective photoresist mask etch
US Patent 7914693 Stamp for micro/nano imprint lithography using diamond-like carbon and method of fabricating the same
US Patent 7919414 Method for forming fine patterns in semiconductor device
US Patent 7922865 Magnetic field generator for magnetron plasma, and plasma etching apparatus and method comprising the magnetic field generator
US Patent 7922920 Systems, methods, and apparatus of a low conductance silicon micro-leak for mass spectrometer inlet
US Patent 7922927 Method of forming one or more nanopores for aligning molecules for molecular electronics
US Patent 7923373 Pitch multiplication using self-assembling materials
US Patent 7923374 Method and apparatus for production of metal film or the like
US Patent 7923375 Method for manufacturing semiconductor device
US Patent 7927498 Solar cell and method of texturing solar cell
US Patent 7931818 Process of embedded circuit structure
US Patent 7932183 Method of manufacturing multilayer thin film pattern and display device
US Patent 7935218 Optical apparatus, lithographic apparatus and device manufacturing method
US Patent 7935642 Replenishment method for an advanced coating removal stripping solution
US Patent 7938974 Method of fabricating printhead using metal film for protecting hydrophobic ink ejection face
US Patent 7943005 Method and apparatus for photomask plasma etching
US Patent 7951718 Edge removal of silicon-on-insulator transfer wafer
US Patent 7955513 Apparatus and method for reactive atom plasma processing for material deposition
US Patent 7959820 Substrate processing method and substrate processing apparatus
US Patent 7964508 Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
US Patent 7968469 Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US Patent 7972970 Fabrication of semiconductor interconnect structure
US Patent 7976715 Method using block copolymers for making a master mold with high bit-aspect-ratio for nanoimprinting patterned magnetic recording disks
US Patent 7976718 System and method for selective etching of silicon nitride during substrate processing
US Patent 7977251 High selectivity BPSG to TEOS etchant
US Patent 7985692 Method to reduce charge buildup during high aspect ratio contact etch
US Patent 7988875 Differential etch rate control of layers deposited by chemical vapor deposition
US Patent 7988878 Selective barrier slurry for chemical mechanical polishing
US Patent 7989353 Method for in-situ refurbishing a ceramic substrate holder
US Patent 7993536 Method of manufacturing magnetic recording medium
US Patent 7998359 Methods of etching silicon-containing films on silicon substrates
US Patent 7998872 Method for etching a silicon-containing ARC layer to reduce roughness and CD
US Patent 8007673 Method of manufacturing circuit board
US Patent 8007676 Slurry compositions, methods of preparing slurry compositions, and methods of polishing an object using slurry compositions
US Patent 8008210 Exposure mask with double patterning technology and method for fabricating semiconductor device using the same
US Patent 8008212 Fabrication methods for integration CMOS and BJT devices
US Patent 8012361 Method of manufacturing magnetic recording medium
US Patent 8012363 Metal film protection during printhead fabrication with minimum number of MEMS processing steps
US Patent 8012879 Etching method using an at least semi-solid media
US Patent 8012882 Method of manufacturing nitride substrate for semiconductors
US Patent 8012883 Stripping method
Edits on 13 Dec, 2021
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012883 Stripping method
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012882 Method of manufacturing nitride substrate for semiconductors
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012879 Etching method using an at least semi-solid media
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012361 Method of manufacturing magnetic recording medium
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012363 Metal film protection during printhead fabrication with minimum number of MEMS processing steps
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008212 Fabrication methods for integration CMOS and BJT devices
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008210 Exposure mask with double patterning technology and method for fabricating semiconductor device using the same
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8007673 Method of manufacturing circuit board
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8007676 Slurry compositions, methods of preparing slurry compositions, and methods of polishing an object using slurry compositions
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998872 Method for etching a silicon-containing ARC layer to reduce roughness and CD
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998359 Methods of etching silicon-containing films on silicon substrates
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993536 Method of manufacturing magnetic recording medium
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989353 Method for in-situ refurbishing a ceramic substrate holder
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7988875 Differential etch rate control of layers deposited by chemical vapor deposition
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7988878 Selective barrier slurry for chemical mechanical polishing
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985692 Method to reduce charge buildup during high aspect ratio contact etch
Load more
Find more people like Lan Vinh
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
By using this site, you agree to our
Terms of Service
.
SUBSCRIBE