Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Walter L Lindsay, Jr.
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 18 Sep, 2022
"Edit from table cell"
Timur SHevtsov
edited on 18 Sep, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Facebook URL
https://www.facebook.com/walter.j.lindsay
Edits on 3 May, 2022
vitali kotau
edited on 3 May, 2022
Edits made to:
Infobox
(
-1
properties)
Infobox
Current Employer
United States Patent and Trademark Office
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-650
properties)
Infobox
Patent primary examiner of
US Patent 11169001 Magnetic-based motion monitoring for two objects sharing common joint
US Patent 11169124 System and method for real time monitoring of a chemical sample
US Patent 7087479 Method of forming integrated circuit contacts
US Patent 7087483 Single transistor RAM cell and method of manufacture
US Patent 7087494 Method for manufacturing semiconductor device and semiconductor device
US Patent 7087536 Silicon oxide gapfill deposition using liquid precursors
US Patent 7091119 Encapsulated MOS transistor gate structures and methods for making the same
US Patent 7094663 Semiconductor device and method of manufacturing the same
US Patent 7094710 Very low dielectric constant plasma-enhanced CVD films
US Patent 7098048 Method and apparatus for capturing fault state data
US Patent 7098070 Device and method for fabricating double-sided SOI wafer scale package with through via connections
US Patent 7098072 Fluxless assembly of chip size semiconductor packages
US Patent 7098093 HEMT device and method of making
US Patent 7098148 Method for heat treating a semiconductor wafer
US Patent 7101736 Method of assembling a semiconductor component and apparatus therefor
US Patent 7101776 Method of fabricating MOS transistor using total gate silicidation process
US Patent 7101807 Method of fabricating semiconductor device
US Patent 7105390 Nonplanar transistors with metal gate electrodes
US Patent 7105400 Manufacturing method of semiconductor device
US Patent 7105442 Ashable layers for reducing critical dimensions of integrated circuit features
US Patent 7109076 Method of manufacturing semiconductor integrated circuit device, and semiconductor integrated circuit device made by its method
US Patent 7109104 Methods of fabricating a semiconductor device having a metal gate pattern
US Patent 7109127 Manufacturing method of semiconductor device
US Patent 7109555 Method for providing short channel effect control using a silicide VSS line
US Patent 7112483 Method for forming a device having multiple silicide types
US Patent 7112499 Dual step source/drain extension junction anneal to reduce the junction depth: multiple-pulse low energy laser anneal coupled with rapid thermal anneal
US Patent 7112510 Methods for forming a device isolating barrier and methods for forming a gate electrode using the same
US Patent 7115478 Method of fabricating a semiconductor device and a method of generating a mask pattern
US Patent 7118943 Production method of a thin film device, production method of a transistor, electro-optical apparatus and electronic equipment
US Patent 7118999 Method and apparatus to increase strain effect in a transistor channel
US Patent 7122443 Method of fabricating flash memory device
US Patent 7122444 Manufacturing method of thin film device substrate
US Patent 7122849 Stressed semiconductor device structures having granular semiconductor material
US Patent 7125771 Methods for fabricating nonvolatile memory device
US Patent 7125776 Multi-step chemical mechanical polishing of a gate area in a FinFET
US Patent 7126189 Method for fabricating semiconductor device
US Patent 7129174 Methods of fabricating a semiconductor substrate for reducing wafer warpage
US Patent 7129517 Semiconductor device with different lattice properties
US Patent 7132324 SOI device with different crystallographic orientations
US Patent 7132327 Decoupled complementary mask patterning transfer method
US Patent 11175160 Magnetic field sensor and method with reduced distortion measurement in sideshaft applications
US Patent 11175305 Accelerometer based on diamagnetic levitation in a ring magnet
US Patent 11175366 Free-breathing MRI with motion compensation
US Patent 11175605 Powder container having a rotator with a flap to contact a wall of the powder container
US Patent 11175612 Image forming apparatus
US Patent 7135365 Method of manufacturing MOS transistors
US Patent 7135374 MOS transistor and fabrication method thereof
US Patent 7141451 Wireless communication medium and method of manufacturing the same
US Patent 7144760 Semiconductor device, method of manufacturing the same, circuit board, and electronic equipment
US Patent 7151018 Method and apparatus for transistor sidewall salicidation
US Patent 7151019 Method of manufacturing a semiconductor device with different lattice properties
US Patent 7151032 Methods of fabricating semiconductor devices
US Patent 7157341 Gate stacks
US Patent 7166526 Method for forming silicide film in semiconductor device
US Patent 7173319 Semiconductor device and method of manufacturing the same
US Patent 7176122 Dielectric with sidewall passivating layer
US Patent 7179758 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US Patent 7183188 Method for fabricating contact-making connections
US Patent 7186638 Passivation processes for use with metallization techniques
US Patent 7189607 Formation of standard voltage threshold and low voltage threshold MOSFET devices
US Patent 7189629 Method for isolating semiconductor devices
US Patent 7193280 Indium oxide conductive film structures
US Patent 7195931 Split manufacturing method for advanced semiconductor circuits
US Patent 7199011 Method to reduce transistor gate to source/drain overlap capacitance by incorporation of carbon
US Patent 7199019 Method for forming tungsten contact plug
US Patent 7202124 Strained gettering layers for semiconductor processes
US Patent 7208407 Flash memory cells with reduced distances between cell elements
US Patent 7208409 Integrated circuit metal silicide method
US Patent 7211464 Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors and fabricating such devices
US Patent 7214577 Method of fabricating semiconductor integrated circuit device
US Patent 7217624 Non-volatile memory device with conductive sidewall spacer and method for fabricating the same
US Patent 7217668 Gate technology for strained surface channel and strained buried channel MOSFET devices
US Patent 7223662 Method of forming an epitaxial layer for raised drain and source regions by removing surface defects of the initial crystal surface
US Patent 7223663 MOS transistors and methods of manufacturing the same
US Patent 7227175 Semiconductor device with different lattice properties
US Patent 7232731 Method for fabricating transistor of semiconductor device
US Patent 7256122 Method of fabricating semiconductor device
US Patent 7259027 Low energy dose monitoring of implanter using implanted wafers
US Patent 7271436 Flash memory devices including a pass transistor
US Patent 7274867 System and method for determining the temperature of a semiconductor wafer
US Patent 7279732 Enhanced atomic layer deposition
US Patent 7282403 Temperature stable metal nitride gate electrode
US Patent 7288817 Reverse metal process for creating a metal silicide transistor gate structure
US Patent 7291523 Method of manufacturing a semiconductor device
US Patent 7294571 Concave pattern formation method and method for forming semiconductor device
US Patent 7303967 Method for fabricating transistor of semiconductor device
US Patent 7304353 Formation of standard voltage threshold and low voltage threshold MOSFET devices
US Patent 7306996 Methods of fabricating a semiconductor device having a metal gate pattern
US Patent 7315051 Method of forming a source/drain and a transistor employing the same
US Patent 7323377 Increasing self-aligned contact areas in integrated circuits using a disposable spacer
US Patent 7326653 Method of preparation of organic optoelectronic and electronic devices and devices thereby obtained
US Patent RE40113 Method for fabricating gate oxide
US Patent 7348221 Process for manufacturing a semiconductor device, a semiconductor device and a high-frequency circuit
US Patent 7352049 Semiconductor device and method of manufacturing the same
US Patent 7354831 Multi-channel transistor structure and method of making thereof
US Patent 7354849 Catalytically enhanced atomic layer deposition process
US Patent 11181408 Thermoresistive gas sensor
US Patent 11181596 Method for obtaining an operating parameter, storage medium, and magnetic resonance apparatus
US Patent 11181849 Liquid electrostatic ink developer assembly
US Patent RE40275 Method for producing a memory cell
US Patent 7365390 Method of fabricating recess transistor in integrated circuit device and recess transistor in integrated circuit device fabricated by the same
US Patent 7384851 Buried stress isolation for high-performance CMOS technology
US Patent 7385254 Structure for protection against radio disturbances
US Patent 7387926 Method for manufacturing CMOS image sensor
US Patent 7388289 Local multilayered metallization
US Patent 7396728 Methods of improving drive currents by employing strain inducing STI liners
US Patent 7399646 Magnetic devices and techniques for formation thereof
US Patent 7399670 Methods of forming different gate structures in NMOS and PMOS regions and gate structures so formed
US Patent 7399676 Silicon carbide semiconductor device and method for manufacturing the same
US Patent 7402183 High capacitance cathode foil produced by abrasion process using titanium nitride powder
US Patent 7402476 Method for forming an electronic device
US Patent 7402530 Method for manufacturing semiconductor device and semiconductor device
US Patent 7405110 Methods of forming implant regions relative to transistor gates
US Patent 7405133 Semiconductor device and method for manufacturing the same
US Patent 7410852 Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US Patent 7410859 Stressed MOS device and method for its fabrication
US Patent 7413947 Integrated high voltage capacitor having a top-level dielectric layer and a method of manufacture therefor
US Patent 7416912 Method of fabricating CMOS image sensor
US Patent 7416940 Methods for fabricating flash memory devices
US Patent 7417283 CMOS device with dual polycide gates and method of manufacturing the same
US Patent 7419878 Planarized and silicided trench contact
US Patent 7419883 Method for fabricating a semiconductor structure having selective dopant regions
US Patent 7422942 Method for fabricating a semiconductor device having an insulation film with reduced water content
US Patent 7422965 Methods of fabricating p-type transistors including germanium channel regions
US Patent 7422982 Method and apparatus for electroprocessing a substrate with edge profile control
US Patent 7425482 Non-volatile memory device and method for fabricating the same
US Patent 7427536 High density stepped, non-planar nitride read only memory
US Patent 7429512 Method for fabricating flash memory device
US Patent 7429539 Nitriding method of gate oxide film
US Patent 7435445 Method for manufacturing semiconductor device
US Patent 7439102 Semiconductor fuse box and method for fabricating the same
US Patent 7439103 Organic thin film transistor, method for fabricating the same, and liquid crystal display device with the same
US Patent 7439144 CMOS gate structures fabricated by selective oxidation
US Patent 7439189 Surface treatment after selective etching
US Patent 7439559 SOI device with different crystallographic orientations
US Patent 7442476 Method and system for 3D alignment in wafer scale integration
US Patent 7442562 Method for manufacturing optical module
US Patent 7442576 Placement of absorbing material in a semiconductor device
US Patent 7442593 Method of manufacturing semiconductor device having conductive thin films
US Patent 7442976 DRAM cells with vertical transistors
US Patent 7446003 Manufacturing process for lateral power MOS transistors
US Patent 7446019 Method of reducing roughness of a thick insulating layer
US Patent 7446415 Method for filling electrically different features
US Patent 7449395 Method of fabricating a composite substrate with improved electrical properties
US Patent 7449397 Method for annealing silicon thin films and polycrystalline silicon thin films prepared therefrom
US Patent 7449413 Method for effectively removing polysilicon nodule defects
US Patent 7452744 Method of manufacturing solid image pickup apparatus
US Patent 7452752 Production method of semiconductor chip
US Patent 7452780 Method of forming a stable transistor by dual source/drain implantation
US Patent 7453135 Semiconductor device and method of manufacturing the same
US Patent 7455747 Substrate processing apparatus, control method for the apparatus, and program for implementing the method
US Patent 7456034 Nitride semiconductor device and method for fabricating the same
US Patent 7456049 Method of fabricating lead frame and method of fabricating semiconductor device using the same, and lead frame and semiconductor device using the same
US Patent 7456057 Germanium on glass and glass-ceramic structures
US Patent 7456062 Method of forming a semiconductor device
US Patent 7456066 Variable width offset spacers for mixed signal and system on chip devices
US Patent 7456087 Semiconductor device and method of fabricating the same
US Patent 7456479 Method for fabricating a probing pad of an integrated circuit chip
US Patent 7459320 Method for producing a photovoltaic device
US Patent 7459340 Semiconductor device and manufacturing method thereof
US Patent 7459355 Drive circuit of active matrix device and manufacturing method thereof
US Patent 7459369 High capacitance low resistance electrode
US Patent 7459380 Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
US Patent 7459734 Method for manufacturing and structure for transistors with reduced gate to contact spacing
US Patent 7462915 Method and apparatus for increase strain effect in a transistor channel
US Patent 7465621 Method of fabricating a switching regulator with a high-side p-type device
US Patent 7465622 Method for making a raised vertical channel transistor device
US Patent 7465654 Structure of gold bumps and gold conductors on one IC die and methods of manufacturing the structures
US Patent 7465682 Method and apparatus for processing organosiloxane film
US Patent 7466009 Method for reducing dislocation threading using a suppression implant
US Patent 7468302 Method of forming trench type isolation film of semiconductor device
US Patent 7470555 Semiconductor laser device, and method of manufacturing the same
US Patent 7470558 Method for manufacturing solid-state imaging device, and solid-state imaging device
US Patent 7470564 Flip-chip system and method of making same
US Patent 7470569 OLED display manufacturing method with uniformity correction
US Patent 7470600 Method and device for controlled cleaving process
US Patent 7470980 Apparatus and method for manufacturing a display device substrate
US Patent 7473566 Method and apparatus for controlling a film formation process with multiple objectives
US Patent 7473567 Change rate prediction method, storage medium, and substrate processing system
US Patent 7473578 Encapsulation for particle entrapment
US Patent 7473584 Method for fabricating a fan-in leadframe semiconductor package
US Patent 7473586 Method of forming flip-chip bump carrier type package
US Patent 7473595 Method for decreasing PN junction leakage current of dynamic random access memory
US Patent 7473598 Method for forming stack capacitor
US Patent 7473623 Providing stress uniformity in a semiconductor device
US Patent 7473624 Method for manufacturing semiconductor device
US Patent 7479420 Laser optical apparatus
US Patent 7482188 Rubbing system for alignment layer of LCD and method thereof
US Patent 7482218 Low-capacitance input/output and electrostatic discharge circuit for protecting an integrated circuit from electrostatic discharge
US Patent 7482228 Method of forming a MOS transistor with a litho-less gate
US Patent 7482237 Semiconductor device and method of producing the same, and power conversion apparatus incorporating this semiconductor device
US Patent 7482239 Methods of forming integrated circuitry
US Patent 7485486 Photodiode for multiple wavelength operation
US Patent 7485498 Space-efficient package for laterally conducting device
US Patent 7485515 Method of manufacturing metal oxide semiconductor
US Patent 7485544 Strained semiconductor, devices and systems and methods of formation
US Patent 7485581 Device with gaps for capacitance reduction
US Patent 7488622 Method for producing a surface-mountable semiconductor component
US Patent 7488638 Method for fabricating a voltage-stable PMOSFET semiconductor structure
US Patent 7488647 System and method for providing a poly cap and a no field oxide area to prevent formation of a vertical bird's beak structure in the manufacture of a semiconductor device
US Patent 7488670 Direct channel stress
US Patent 7488671 Nanostructure arrays and methods of making same
US Patent 7488978 Tunneling-effect thin film transistor, method of manufacturing the same, and organic light-emitting diode display
US Patent 7489005 EEPROM
US Patent 7489025 Device and method for fabricating double-sided SOI wafer scale package with optical through via connections
US Patent 7491560 Fabricating method of flat panel display device
US Patent 7491601 Methods of forming electronic devices including electrodes with insulating spacers thereon
US Patent 7491625 Gang flipping for IC packaging
US Patent 7491656 Semiconductor device, method for forming silicon oxide film, and apparatus for forming silicon oxide film
US Patent 7492417 Method of manufacturing liquid crystal display device
US Patent 7494829 Identification of outlier semiconductor devices using data-driven statistical characterization
US Patent 7494834 Method of manufacturing a transparent element including transparent electrodes
US Patent 7494837 Thin film forming apparatus
US Patent 7494857 Advanced activation approach for MOS devices
US Patent 7494863 Method for manufacturing capacitor for semiconductor device
US Patent 7494878 Metal-oxide-semiconductor transistor and method of forming the same
US Patent 7494882 Manufacturing a semiconductive device using a controlled atomic layer removal process
US Patent 7494900 Back side wafer dicing
US Patent 7494937 Strained metal silicon nitride films and method of forming
US Patent 7494938 Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US Patent 7495291 Strained dislocation-free channels for CMOS and method of manufacture
US Patent 7498182 Method of manufacturing an ultraviolet light emitting AlGaN composition and ultraviolet light emitting device containing same
US Patent 7498187 Method for producing gallium nitride light emitting diode wafer
US Patent 7498191 Semiconductor-on-diamond devices and associated methods
US Patent 7498202 Method for die attaching
US Patent 7498209 Etching tape and method of fabricating array substrate for liquid crystal display using the same
US Patent 7498213 Methods of fabricating a semiconductor substrate for reducing wafer warpage
US Patent 7498217 Methods of manufacturing semiconductor memory devices with unit cells having charge trapping layers
US Patent 7498225 Systems and methods for forming multiple fin structures using metal-induced-crystallization
US Patent 7498249 Method of forming a connecting conductor and wirings of a semiconductor chip
US Patent 7498253 Local interconnection method and structure for use in semiconductor device
US Patent 7498254 Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US Patent 7501300 Manufacturing method of semiconductor integrated circuit device
US Patent 7501302 Electromagnetic micro-generator and method for manufacturing the same
US Patent 7501304 Method of cleaning cover glass having spacer
US Patent 7501306 Semiconductor device and method of manufacturing thereof
US Patent 7501317 Method of manufacturing semiconductor device
US Patent 7501320 Semiconductor device with dielectric structure and method for fabricating the same
US Patent 7501326 Method for forming isolation layer of semiconductor device
US Patent 7501336 Metal gate device with reduced oxidation of a high-k gate dielectric
US Patent 7501342 Device having high aspect-ratio via structure in low-dielectric material and method for manufacturing the same
US Patent 7502826 Atomic operations
US Patent 7504273 Scribing method and apparatus of liquid crystal panel, method for fabricating liquid crystal panel
US Patent 7504280 Nonvolatile memory device and method of manufacturing the same
US Patent 7504285 Carrierless chip package for integrated circuit devices, and methods of making same
US Patent 7504292 Short channel effect engineering in MOS device using epitaxially carbon-doped silicon
US Patent 7504295 Methods for fabricating dynamic random access memory cells having laterally offset storage nodes
US Patent 7504345 Method for eliminating defects from semiconductor materials
US Patent 7507589 Method of forming a MEMS inductor with very low resistance
US Patent 7507593 Liquid crystal display device and method for fabricating the same
US Patent 7507651 Method for fabricating semiconductor device with bulb shaped recess gate pattern
US Patent 7508833 Wireless communication apparatus and base station detection method
US Patent 7510894 Post-logic isolation of silicon regions for an integrated sensor
US Patent 7510925 Method of manufacturing semiconductor device, and semiconductor device
US Patent 7510931 Method of fabricating a nonvolatile memory device
US Patent 7510970 Process for manufacturing semiconductor integrated circuit device
US Patent 7514315 Methods of forming capacitor structures having aluminum oxide diffusion barriers
US Patent 7514331 Method of manufacturing gate sidewalls that avoids recessing
US Patent 7514343 Method for manufacturing SIMOX wafer and SIMOX wafer
US Patent 7514376 Manufacture of semiconductor device having nitridized insulating film
US Patent 11187715 Multi-component fast-response velocity sensor
US Patent 11187751 Relay operation state maintaining device and electronic device including the same
US Patent 11187768 Controlled excitation and saturation of magnetisation transfer systems
US Patent 11188016 Fixing device including a heater having a planar shape and an endless belt
US Patent 7517708 Real-time parameter tuning using wafer temperature
US Patent 7517712 Wafer-level hermetic micro-device packages
US Patent 7517713 Solid-state image sensor and method for manufacturing thereof as well as semiconductor device and method for manufacturing thereof
US Patent 7517723 Method for fabricating a flip chip system in package
US Patent 7517744 Capacitorless DRAM on bulk silicon
US Patent 7517746 Metal oxide semiconductor transistor with Y shape metal gate and fabricating method thereof
US Patent 7517750 Flash memory devices having multilayered inter-gate dielectric layers including metal oxide layers and methods of manufacturing the same
US Patent 7517765 Method for forming germanides and devices obtained thereof
US Patent 7517780 Method for eliminating polycide voids through nitrogen implantation
US Patent 7521265 Method for measuring an amount of strain of a bonded strained wafer
US Patent 7521267 Thermal inkjet printhead processing with silicon etching
US Patent 7521314 Method for selective removal of a layer
US Patent 7521317 Method of forming a semiconductor device and structure thereof
US Patent 7521318 Semiconductor device and method of manufacturing the same
US Patent 7521337 Wafer laser processing method
US Patent 7521358 Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US Patent 7521361 Method for manufacturing wiring substrate
US Patent 7521375 Method of forming an oxinitride layer
US Patent 7524739 Method of improving a surface of a semiconductor substrate
US Patent 7524751 Method for forming contact hole in semiconductor device
US Patent 7527995 Method of making prestructure for MEMS systems
US Patent 7527996 Non-planar surface structures and process for microelectromechanical systems
US Patent 7528004 Method for mounting anisotropically-shaped members
US Patent 7528011 Semiconductor device and manufacturing method thereof
US Patent 7528015 Tunable antifuse element and method of manufacture
US Patent 7528024 Dual work function metal gate integration in semiconductor devices
US Patent 7528026 Method for reducing silicide defects by removing contaminants prior to drain/source activation
US Patent 7528028 Super anneal for process induced strain modulation
US Patent 7528076 Method for manufacturing gate oxide layer with different thicknesses
US Patent 7528476 Semiconductor device, method for manufacturing semiconductor device, circuit board, and electronic instrument
US Patent 7531368 In-line lithography and etch system
US Patent 7531373 Methods of forming a conductive interconnect in a pixel of an imager and in other integrated circuitry
US Patent 7531385 Flip chip mounting method and method for connecting substrates
US Patent 7531387 Flip chip mounting method and bump forming method
US Patent 7531395 Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US Patent 7531413 Method of forming transistor having channel region at sidewall of channel portion hole
US Patent 7531420 Semiconductor memory cell and corresponding method of producing same
US Patent 7531432 Block-molded semiconductor device singulation methods and systems
US Patent 7531452 Strained metal silicon nitride films and method of forming
US Patent 7531459 Methods of forming self-aligned silicide layers using multiple thermal processes
US Patent 7531863 Semiconductor device and method of fabricating the same
US Patent 7534634 Surface-mountable light-emitting diode light source and method of producing a light-emitting diode light source
US Patent 7534674 Method of making a semiconductor device with a stressor
US Patent 7537939 System and method for characterizing lithography effects on a wafer
US Patent 7537941 Variable overlap of dummy shapes for improved rapid thermal anneal uniformity
US Patent 7537956 Silicon optoelectronic device manufacturing method and silicon optoelectronic device manufactured by thereof and image input and/or output apparatus having the same
US Patent 7537974 Photoresist composition, method for forming film pattern using the same, and method for manufacturing thin film transistor array panel using the same
US Patent 7537988 Differential offset spacer
US Patent 7538003 Method for fabricating MOS transistor
US Patent 7541232 Method for fabrication of devices in a multi-layer structure
US Patent 7541233 Semiconductor device and method of manufacturing the same
US Patent 7541272 Damascene patterning of barrier layer metal for C4 solder bumps
US Patent 7541297 Method and system for improving dielectric film quality for void free gap fill
US Patent 7544562 Method for manufacturing a capacitor electrode structure
US Patent 7544616 Methods of forming nitride read only memory and word lines thereof
US Patent 7544996 Methods of fabricating a semiconductor device having a metal gate pattern
US Patent 7545016 Integrated layer stack arrangement, optical sensor and method for producing an integrated layer stack arrangement
US Patent 7547595 Integration scheme method and structure for transistors using strained silicon
US Patent 7547628 Method for manufacturing capacitor
US Patent 7550305 Method of forming light-emitting element
US Patent 7550336 Method for fabricating an NMOS transistor
US Patent 7550358 MEMS device including a laterally movable portion with piezo-resistive sensing elements and electrostatic actuating elements on trench side walls, and methods for producing the same
US Patent 7550369 Method for fabricating low-defect-density changed orientation Si
US Patent 7550376 Semiconductor device capable of suppressing current concentration in pad and its manufacture method
US Patent 7550391 Method for forming fine patterns of a semiconductor device using double patterning
US Patent 7550804 Semiconductor device and method for forming the same
US Patent 7553720 Non-volatile memory device and fabrication method thereof
US Patent 7553726 Method of fabricating nonvolatile memory device
US Patent 7553751 Method of forming solder bump with reduced surface defects
US Patent 7553766 Method of fabricating semiconductor integrated circuit device
US Patent 7556970 Method of repairing damaged film having low dielectric constant, semiconductor device fabricating system and storage medium
US Patent 7556979 Negative thermal expansion system (NTEs) device for TCE compensation in elastomer composites and conductive elastomer interconnects in microelectronic packaging
US Patent 7557008 Method of making a non-volatile memory device
US Patent 7557034 Semiconductor device and a method of manufacturing the same
US Patent 7557039 Method for fabricating contact hole of semiconductor device
US Patent 7559992 Semiconductor processing apparatus and method
US Patent 7560317 Method of forming single crystalline silicon layer, structure including the same, and method of fabricating thin film transistor using the same
US Patent 7560324 Drain extended MOS transistors and methods for making the same
US Patent 7560379 Semiconductive device fabricated using a raised layer to silicide the gate
US Patent 7560396 Material for electronic device and process for producing the same
US Patent 7560753 Field effect transistor with thin gate electrode and method of fabricating same
US Patent 7563626 Manufacturing method of complementary metal oxide silicon image sensor
US Patent 7563663 Method of manufacturing semiconductor device with offset sidewall structure
US Patent 7563665 Semiconductor device and method for manufacturing semiconductor device
US Patent 7563667 Method for fabricating semiconductor device
US Patent 7563687 Method of fabricating a capacitor by using a metallic deposit in an interconnection dielectric layer of an integrated circuit
US Patent 7563709 Pattern formation method and method for forming semiconductor device
US Patent 7564125 Electronic array and methods for fabricating same
US Patent 7566660 Semiconductor device and method for manufacturing the same
US Patent 7566669 Laser irradiation apparatus and laser irradiation method
US Patent 7569431 Semiconductor device and manufacturing method thereof
US Patent 7569852 Transition metal complex and light-emitting device
US Patent 7572686 System for thin film deposition utilizing compensating forces
US Patent 7572709 Method, apparatus, and system for low temperature deposition and irradiation annealing of thin film capacitor
US Patent 7573099 Semiconductor device layout and channeling implant process
US Patent 7579208 Image sensor having self-aligned and overlapped photodiode and method of making same
US Patent 7579250 Method for reducing hot carrier effect of MOS transistor
US Patent 7579258 Semiconductor interconnect having adjacent reservoir for bonding and method for formation
US Patent 7582489 Method for manufacturing magnetic sensor apparatus
US Patent 7582899 Semiconductor device having overlay measurement mark and method of fabricating the same
US Patent 7585769 Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US Patent 7585781 Method of forming openings in an organic resin material
US Patent 7588960 Nanotube device structure and methods of fabrication
US Patent 7588973 Semiconductor device and method of manufacturing the same
US Patent 7592212 Methods for determining a dose of an impurity implanted in a semiconductor substrate
US Patent 7592251 Hafnium tantalum titanium oxide films
US Patent 7592270 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US Patent 7595223 Process for bonding and electrically connecting microsystems integrated in several distinct substrates
US Patent 7595226 Method of packaging an integrated circuit die
US Patent 7595239 Method of fabricating flash memory device
US Patent 7598122 Die attach method and microarray leadframe structure
US Patent 7598130 Method for reducing layout-dependent variations in semiconductor devices
US Patent 7598137 Method for manufacturing semiconductor device including MIM capacitor
US Patent 7598182 Anti-reflective coating forming composition containing polyamic acid
US Patent 7598549 Semiconductor device having a silicon layer in a gate electrode
US Patent 7601598 Reverse metal process for creating a metal silicide transistor gate structure
US Patent 7601635 Method of manufacturing a semiconductor device
US Patent 7602003 Semiconductor device structure for reducing hot carrier effect of MOS transistor
US Patent 7605046 Active matrix structure for a display device and method for its manufacture
US Patent 7608468 Apparatus and methods for determining overlay and uses of same
US Patent 7608501 Technique for creating different mechanical strain by forming a contact etch stop layer stack having differently modified intrinsic stress
US Patent 7608893 Multi-channel transistor structure and method of making thereof
US Patent 7608904 Semiconductor device components with conductive vias and systems including the components
US Patent 7611956 Semiconductor device having MOS varactor and methods for fabricating the same
US Patent 7611960 Method and system for wafer backside alignment
US Patent 7611962 Method for fabricating semiconductor device
US Patent 7612138 Electromagnetic radiation attenuation
US Patent RE40965 Method of forming low-resistance contact electrodes in semiconductor devices
US Patent 7614888 Flip chip package process
US Patent 7615424 Laser irradiation apparatus and method for manufacturing semiconductor device using the laser irradiation apparatus
US Patent 7615466 Method for producing a semiconductor-on-insulator structure
US Patent 7618871 Method for the production of a bipolar transistor comprising an improved base terminal
US Patent 7618876 Semiconductor device and method of manufacturing the same by filling a trench which includes an additional coating step
US Patent 7618898 Method and apparatus for forming contact hole
US Patent 7622733 Semiconductor structure with a plastic housing and separable carrier plate
US Patent 7622769 Isolation trench
US Patent 7625768 Transfer molding apparatus and method for manufacturing semiconductor device
US Patent 7625793 Power MOS device with improved gate charge performance
US Patent 7632705 Method of high precision printing for manufacturing organic thin film transistor
US Patent 7635626 Method of manufacturing dynamic random access memory
US Patent 7635656 Serial irradiation of a substrate by multiple radiation sources
US Patent 7635876 LED package structure and method of packaging the same
US Patent 7638387 Mask ROM and method of fabricating the same
US Patent 7638415 Method for reducing dislocation threading using a suppression implant
US Patent 7638883 Flip chip mounting method and bump forming method
US Patent 7640072 Substrate processing apparatus, control method for the apparatus, and program for implementing the method
US Patent 7642105 Manufacturing method for partially-good memory modules with defect table in EEPROM
US Patent 7645624 Method for self bonding epitaxy
US Patent 7645711 Semiconductor device fabrication method
US Patent 7646060 Method and device of field effect transistor including a base shorted to a source region
US Patent 7646095 Semiconductor device
US Patent 7646465 Liquid crystal display and method of fabricating the same
US Patent 7648885 Method for forming misalignment inspection mark and method for manufacturing semiconductor device
US Patent 7648913 Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
US Patent 7651880 Ge short wavelength infrared imager
US Patent 7651922 Semiconductor device fabrication method
US Patent 7651924 Method of fabricating semiconductor memory device in which an oxide film fills a trench in a semiconductor substrate
US Patent 7651934 Process for electroless copper deposition
US Patent 7651953 Method to form ultra high quality silicon-containing compound layers
US Patent 7651957 Structure for a semiconductor arrangement and a method of manufacturing a semiconductor arrangement
US Patent 7651958 Semiconductor device and method of manufacturing thereof
US Patent 7655513 Method of manufacturing a semiconductor device
US Patent 7659139 Method for destruction of metallic carbon nanotubes, method for production of aggregate of semiconducting carbon nanotubes, method for production of thin film of semiconducting carbon nanotubes, method for destruction of semiconducting carbon nanotubes, method for production of aggregate of metallic carbon nanotubes, method for production of thin film of metallic carbon nanotubes, method for production of electronic device, method for production of aggregate of carbon nanotubes, method for selective reaction of semiconducting carbon nanotubes
US Patent 7659169 Semiconductor device and method of manufacturing thereof
US Patent 7659174 Method to enhance device performance with selective stress relief
US Patent 7662705 Partial implantation method for semiconductor manufacturing
US Patent 7662714 Method for forming metal line of semiconductor device
US Patent 7663169 Photodiode array and production method thereof, and radiation detector
US Patent 7663191 Semiconductor device and manufacturing method thereof with rounded gate including a silicide on the top and at the corners
US Patent 7663209 Inlet for an electronic tag
US Patent 7666702 Method for fabricating a microstructure
US Patent 7666708 Doped elongated semiconductors, growing such semiconductors, devices including such semiconductors, and fabricating such devices
US Patent 7666788 Methods for forming conductive vias in semiconductor device components
US Patent 7667253 Non-volatile memory device with conductive sidewall spacer and method for fabricating the same
US Patent 7670920 Methods and apparatus for forming a polysilicon capacitor
US Patent 7670966 Method of processing a semiconductor substrate by thermal activation of light elements
US Patent 7671384 Semiconductor integrated circuit device having improved punch-through resistance and production method thereof, semiconductor integrated circuit device including a low-voltage transistor and a high-voltage transistor
US Patent 7674646 Three dimensional integrated passive device and method of fabrication
US Patent 7674716 Adjuvant for chemical mechanical polishing slurry
US Patent 7678694 Method for fabricating semiconductor device with silicided gate
US Patent 7679144 Semiconductor device and method for manufacturing the same
US Patent 7682867 Organic thin-film transistor and manufacturing method thereof
US Patent 7682874 Chip scale package (CSP) assembly apparatus and method
US Patent 7687370 Method of forming a semiconductor isolation trench
US Patent 7687913 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US Patent 7691725 Method for manufacturing semiconductor device
US Patent 7694062 System and apparatus for capacitively coupling signals with an integrated circuit
US Patent 7696039 Method of fabricating semiconductor device employing selectivity poly deposition
US Patent 7696042 Semiconductor capacitor structure and method to form same
US Patent 7696067 Method of manufacturing device
US Patent 7696108 Method of forming shadow layer on the wafer bevel
US Patent 7696534 Stressed MOS device
US Patent 7696552 Semiconductor devices including high-k dielectric materials
US Patent 7696579 Formation of standard voltage threshold and low voltage threshold MOSFET devices
US Patent 7700484 Method and apparatus for a metallic dry-filling process
US Patent 7704839 Buried stress isolation for high-performance CMOS technology
US Patent 7704893 Semiconductor device, method for manufacturing semiconductor device and gas for plasma CVD
US Patent 7709274 Method for forming an RuOx electrode and structure
US Patent 7709277 PAA-based etchant, methods of using same, and resultant structures
US Patent 7709310 Semiconductor device with mushroom electrode and manufacture method thereof
US Patent 7709326 Methods of forming layers comprising epitaxial silicon
US Patent 7709371 Repairing damage to low-k dielectric materials using silylating agents
US Patent 7713774 Method of manufacturing image sensor
US Patent 7713777 Method for manufacturing image sensor
US Patent 7713827 Method for manufacturing semiconductor device
US Patent 7714366 CMOS transistor with a polysilicon gate electrode having varying grain size
US Patent 7717967 Method for manufacturing a solid electrolytic capacitor
US Patent 7718482 CD gate bias reduction and differential N+ poly doping for CMOS circuits
US Patent 7723137 Optical device, method of manufacturing the same, optical module, optical transmission system
US Patent 7723245 Method for manufacturing semiconductor device, and substrate processing apparatus
US Patent 7723768 Asymmetric recessed gate MOSFET and method for manufacturing the same
US Patent 7727887 Method for improved power distribution in a three dimensional vertical integrated circuit
US Patent 7727908 Deposition of ZrA1ON films
US Patent 7727913 Method of crystallizing semiconductor film
US Patent 7732227 Method and apparatus for wall film monitoring
US Patent 7732232 Series interconnected optoelectronic device module assembly
US Patent 7732263 Semiconductor device
US Patent 7736918 Photoresist coating apparatus having nozzle monitoring unit and method for supplying photoresist using the same
US Patent 7736941 Light or radiation detector manufacturing method
US Patent 7736949 Device and method for fabricating double-sided SOI wafer scale package with optical through via connections
US Patent 7737020 Method of fabricating CMOS devices using fluid-based dielectric materials
US Patent 7737028 Selective ruthenium deposition on copper materials
US Patent 7737518 Optical microsystem and fabrication process
US Patent 7741198 Method for fabricating a probing pad of an integrated circuit chip
US Patent 7741209 Contact structure of semiconductor device and method for fabricating the same
US Patent 7745251 Method of fabricating CMOS image sensor
US Patent 7745273 Semiconductor device and method for forming same
US Patent 7745316 Method for fabricating Schottky barrier tunnel transistor
US Patent 7749916 Additive printed mask process and structures produced thereby
US Patent 7749917 Dry cleaning of silicon surface for solar cell applications
US Patent 7749920 Low dielectric constant films and manufacturing method thereof, as well as electronic parts using the same
US Patent 7755146 Formation of standard voltage threshold and low voltage threshold MOSFET devices
US Patent 7759138 Silicon microchannel plate devices with smooth pores and precise dimensions
US Patent 7759158 Scalable photovoltaic cell and solar panel manufacturing with improved wiring
US Patent 7759186 Method for fabricating junction termination extension with formation of photosensitive dopant mask to control doping profile and lateral width for high-voltage electronic devices
US Patent 7759234 Method for fabricating semiconductor device with recess gate
US Patent 7759245 Semiconductor device fabricating method
US Patent 7763485 Laser facet pre-coating etch for controlling leakage current
US Patent 7763529 Method of fabricating silicon carbide (SiC) layer
US Patent 7763538 Dual plasma treatment barrier film to reduce low-k damage
US Patent 7767477 Method of manufacturing a thin film transistor array panel
US Patent 7767515 Managing integrated circuit stress using stress adjustment trenches
US Patent 7767531 Method of forming transistor having channel region at sidewall of channel portion hole
US Patent 7767579 Protection of SiGe during etch and clean operations
US Patent 7767594 Semiconductor device producing method
US Patent 7772026 MEMS device package and method for manufacturing the same
US Patent 7772098 Method for manufacturing semiconductor device
US Patent 7772633 DRAM cells with vertical transistors
US Patent 7772640 Semiconductor device and method for manufacturing the same
US Patent 7772643 Methods of fabricating semiconductor device having a metal gate pattern
US Patent 7776650 Method for fabricating a flip chip system in package
US Patent 7776687 Semiconductor device having a gate contact structure capable of reducing interfacial resistance and method of forming the same
US Patent 7776702 Method of fabricating high integrated semiconductor apparatus, and semiconductor apparatus fabricated thereby
US Patent 7776716 Method for fabricating a semiconductor on insulator wafer
US Patent 7777222 Nanotube device structure and methods of fabrication
US Patent 7781243 Method of manufacturing display substrate, method of patterning inorganic layer and method of manufacturing display device using the same
US Patent 7781247 Method for producing Group III-Group V vertical light-emitting diodes
US Patent 7781252 Method of manufacturing CMOS image sensor
US Patent 7781293 Semiconductor device and method of fabricating the same including trenches of different aspect ratios
US Patent 7781308 Method for manufacturing SOI substrate
US Patent 7785941 Method of fabricating thin film transistor
US Patent 7786025 Activating dopants using multiple consecutive millisecond-range anneals
US Patent 7795045 Trench depth monitor for semiconductor manufacturing
US Patent 7795094 Recessed gate dielectric antifuse
US Patent 7795123 Method of forming gate electrode
US Patent 7803677 Manufacturing method of semiconductor device
US Patent 7807485 Process for producing surface emitting laser, process for producing surface emitting laser array, and optical apparatus including surface emitting laser array produced by the process
US Patent 7807535 Methods of forming layers comprising epitaxial silicon
US Patent 7807558 Method of fabricating a semiconductor device
US Patent 7811898 Method and device for bonding wafers
US Patent 7816277 Method for forming deposit, droplet ejection apparatus, electro-optic device, and liquid crystal display
US Patent 7820021 Flip chip mounting method and method for connecting substrates
US Patent 7821010 Low temperature fabrication of conductive micro structures
US Patent 7825012 Method for manufacturing nitride semiconductor device
US Patent 7829448 Structure of high electron mobility transistor, a device comprising the structure and a method of producing the same
US Patent 7833815 Microelectromechanical system package and the method for manufacturing the same
US Patent 7833860 Recessed gate dielectric antifuse
US Patent 7834417 Antifuse elements
US Patent 7842539 Zinc oxide semiconductor and method of manufacturing the same
US Patent 7842576 Semiconductor device including first and second sidewalls and method of manufacturing semiconductor device
US Patent 7851255 Placement of an integrated circuit
US Patent 7858416 LED package structure and method of packaging the same
US Patent 7858427 Crystalline silicon solar cells on low purity substrate
US Patent 7858440 Stacked semiconductor chips
US Patent 7858964 Semiconductor device formed in a recrystallized layer
US Patent 7859042 Nonvolatile memory devices
US Patent 7859090 Die attach method and leadframe structure
US Patent 7863061 Surface emitting laser manufacturing method, surface emitting laser array manufacturing method, surface emitting laser, surface emitting laser array, and optical apparatus including surface emitting laser array
US Patent 7863072 Micromechanical diaphragm sensor having a double diaphragm
US Patent 7867331 Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US Patent 7867821 Integrated circuit package system with through semiconductor vias and method of manufacture thereof
US Patent 7867864 Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US Patent 7867902 Methods of forming a contact structure
US Patent 7867913 Method for fabricating fine pattern in semiconductor device
US Patent 7871838 Rubbing system for alignment layer of LCD and method thereof
US Patent 7871873 Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US Patent 7871880 Method for manufacturing electronic devices integrated in a semiconductor substrate and corresponding devices
US Patent 7871908 Method of manufacturing semiconductor device
US Patent 7872291 Enhanced atomic layer deposition
US Patent 7875518 Semiconductor device having silicon layer in a gate electrode
US Patent 7875530 Method for manufacturing semiconductor device
US Patent 7876438 Apparatus and methods for determining overlay and uses of same
US Patent 7883919 Negative thermal expansion system (NTEs) device for TCE compensation in elastomer compsites and conductive elastomer interconnects in microelectronic packaging
US Patent 7883920 Image sensor and method for manufacturing an image sensor
US Patent 7883960 Method of manufacturing semiconductor device
US Patent 7883997 Solid-phase sheet growing substrate and method of manufacturing solid-phase sheet
US Patent 7888144 Light-emitting device and method for making the same
US Patent 7888174 Embedded chip package process
US Patent 7888193 Semiconductor device with mushroom electrode and manufacture method thereof
US Patent 7888705 Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US Patent 7892960 Method for forming gate oxide of semiconductor device
US Patent 7897452 Method of producing a semiconductor device with an aluminum or aluminum alloy rear electrode
US Patent 7897480 Preparation of high quality strained-semiconductor directly-on-insulator substrates
US Patent 7897487 Laser processing method and chip
US Patent 7900579 Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
US Patent 7901951 Thin film transistor array substrate and method for fabricating same
US Patent 7901953 Methods and apparatus for detecting defects in interconnect structures
US Patent 7902005 Method for fabricating a fin-shaped semiconductor structure and a fin-shaped semiconductor structure
US Patent 7902088 High quantum efficiency silicon nanoparticle embedded SiO
US Patent 7902581 Semiconductor device comprising a contact structure based on copper and tungsten
US Patent 7906412 Method of fabricating group III nitride semiconductor single crystal, and method of fabricating group III nitride semiconductor single crystal substrate
US Patent RE42232 RF chipset architecture
US Patent 7910399 Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
US Patent 7910414 Method of fabricating array substrate
US Patent 7910920 Thin film transistor and method of forming the same
US Patent 7915055 Manufacturing method of semiconductor device
US Patent 7915133 Method of forming a trench capacitor
US Patent 7919349 Photonic integration scheme
US Patent 7919373 Method for doping polysilicon and method for fabricating a dual poly gate using the same
US Patent 7923265 Method and system for improving critical dimension proximity control of patterns on a mask or wafer
US Patent 7923330 Method for manufacturing a semiconductor device
US Patent 7923340 Method to reduce collector resistance of a bipolar transistor and integration into a standard CMOS flow
US Patent 7923377 Method for forming amorphous carbon film
US Patent 7927907 Method of making silicon solar cells containing μC silicon layers
US Patent 7927908 Method for manufacturing a bolometric detector
US Patent 7928007 Method for reducing dielectric overetch when making contact to conductive features
US Patent 7928426 Forming a non-planar transistor having a quantum well channel
US Patent 7932176 Self-aligned barrier layers for interconnects
US Patent 7932185 Process for fabricating semiconductor device
US Patent 7935566 Embossing printing for fabrication of organic field effect transistors and its integrated devices
US Patent 7935574 Marking method and sheet for both protective film forming and dicing
US Patent RE42332 Integrated circuit package, ball-grid array integrated circuit package
US Patent 7939418 Partial implantation method for semiconductor manufacturing
US Patent 7939421 Method for fabricating integrated circuit structures
US Patent 7943410 Embedded microelectromechanical systems (MEMS) semiconductor substrate and related method of forming
US Patent 7943485 Composite wafers having bulk-quality semiconductor layers and method of manufacturing thereof
US Patent 7943505 Advanced VLSI metallization
US Patent 7951630 Method of fabricating light emitting diode chip
US Patent 7955891 Thermal management and method for large scale processing of CIS and /or CIGS based thin films overlying glass substrates
US Patent 7955914 Method of producing an asymmetric architecture semi-conductor device
US Patent 7955968 Pseudo hybrid structure for low K interconnect integration
US Patent RE42457 Methods of packaging an integrated circuit and methods of forming an integrated circuit package
US Patent 7959733 Film formation apparatus and method for semiconductor process
US Patent 7960241 Manufacturing method for double-side capacitor of stack DRAM
US Patent 7960267 Method for making a stressed non-volatile memory device
US Patent 7964491 Method of forming metal wiring of nonvolatile memory device
US Patent 7964513 Method to form ultra high quality silicon-containing compound layers
US Patent 7964873 Thin film transistor array panel and manufacturing method thereof
US Patent 7968368 Method of manufacturing a field effect transistor having an oxide semiconductor
US Patent 7968401 Reducing photoresist layer degradation in plasma immersion ion implantation
US Patent 7968425 Isolation regions
US Patent 7968895 Conductor structure, pixel structure, and methods of forming the same
US Patent 7972878 Quantum dot memory
US Patent 7972914 Semiconductor device with FinFET and method of fabricating the same
US Patent 7972927 Method of manufacturing a nonvolatile semiconductor memory device
US Patent 7972973 Method for forming silicon oxide film, plasma processing apparatus and storage medium
US Patent 7973367 Semiconductor device and manufacturing method thereof
US Patent 7977122 Fluidic device containing 3D structures
US Patent 7977240 Metal inks for improved contact resistance
US Patent 7977257 Methods of manufacturing semiconductor devices
US Patent 7981711 Manufacture method of a multilayer structure having non-polar a-plane {11-20} III-nitride layer
US Patent 7982227 Light emitting diode package
US Patent 7985649 Method of making a semiconductor structure useful in making a split gate non-volatile memory cell
US Patent 7989234 Method for manufacturing thin film transistor and method for manufacturing display device
US Patent 7989257 Polysilazane, method of synthesizing polysilazane, composition for manufacturing semiconductor device, and method of manufacturing semiconductor device using the composition
US Patent 7989883 System and method for providing a poly cap and a no field oxide area to prevent formation of a vertical bird's beak structure in the manufacture of a semiconductor device
US Patent 7993952 Charge transfer device
US Patent 7993954 Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
US Patent 7993955 Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
US Patent 7998802 Method of manufacturing semiconductor device with offset sidewall structure
US Patent 7998808 Semiconductor device fabrication using spacers
US Patent 7999328 Isolation trench having first and second trench areas of different widths
US Patent 8003461 Method of fabricating efuse structure, resistor sturcture and transistor sturcture
US Patent 8004073 Integrated circuit packaging system with interposer and method of manufacture thereof
US Patent 8008174 Continuous feed chemical vapor deposition
US Patent 8012860 Atomic layer deposition for functionalizing colloidal and semiconductor particles
Edits on 13 Dec, 2021
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012860 Atomic layer deposition for functionalizing colloidal and semiconductor particles
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008174 Continuous feed chemical vapor deposition
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8004073 Integrated circuit packaging system with interposer and method of manufacture thereof
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8003461 Method of fabricating efuse structure, resistor sturcture and transistor sturcture
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7999328 Isolation trench having first and second trench areas of different widths
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998808 Semiconductor device fabrication using spacers
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998802 Method of manufacturing semiconductor device with offset sidewall structure
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993955 Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993954 Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993952 Charge transfer device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989883 System and method for providing a poly cap and a no field oxide area to prevent formation of a vertical bird's beak structure in the manufacture of a semiconductor device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989257 Polysilazane, method of synthesizing polysilazane, composition for manufacturing semiconductor device, and method of manufacturing semiconductor device using the composition
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989234 Method for manufacturing thin film transistor and method for manufacturing display device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985649 Method of making a semiconductor structure useful in making a split gate non-volatile memory cell
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7982227 Light emitting diode package
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7981711 Manufacture method of a multilayer structure having non-polar a-plane {11-20} III-nitride layer
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977257 Methods of manufacturing semiconductor devices
Load more
Find more people like Walter L Lindsay, Jr.
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
By using this site, you agree to our
Terms of Service
.
SUBSCRIBE