Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Naum B Levin
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-129
properties)
Infobox
Patent primary examiner of
US Patent 7418686 System for representing the logical and physical information of an integrated circuit
US Patent 7487475 Systems, methods, and apparatus to perform statistical static timing analysis
US Patent 7487571 Control adjustable device configurations to induce parameter variations to control parameter skews
US Patent 7500205 Skew reduction for generated clocks
US Patent 7506284 Event driven switch level simulation method and simulator
US Patent 7506293 Characterizing sequential cells using interdependent setup and hold times, and utilizing the sequential cell characterizations in static timing analysis
US Patent 7506297 Methodology for scheduling, partitioning and mapping computational tasks onto scalable, high performance, hybrid FPGA networks
US Patent 7546561 System and method of state point correspondence with constrained function determination
US Patent 7562326 Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US Patent 7562327 Mask layout design improvement in gate width direction
US Patent 7562333 Method and process for generating an optical proximity correction model based on layout density
US Patent 7562336 Contrast based resolution enhancement for photolithographic processing
US Patent 7565632 Behavioral synthesizer system, operation synthesizing method and program
US Patent 7571400 Chip design verifying and chip testing apparatus and method
US Patent 7571402 Scan chain modification for reduced leakage
US Patent 7571405 Electrical design rule checking expert traverser system
US Patent 7571406 Clock tree adjustable buffer
US Patent 7571407 Semiconductor integrated circuit and method of testing delay thereof
US Patent 7571408 Methods and apparatus for diagonal route shielding
US Patent 7571410 Resonant tree driven clock distribution grid
US Patent 7571412 Method and system for semiconductor device characterization pattern generation and analysis
US Patent 7571423 Optimized photomasks for photolithography
US Patent 7571424 Diffused aerial image model semiconductor device fabrication
US Patent 7581199 Use of state nodes for efficient simulation of large digital circuits at the transistor level
US Patent 7584450 Method and apparatus for using a database to quickly identify and correct a manufacturing problem area in a layout
US Patent 7587695 Protection boundaries in a parallel printed circuit board design environment
US Patent 7587700 Process monitoring system and method for processing a large number of sub-micron measurement targets
US Patent 7590962 Design method and architecture for power gate switch placement
US Patent 7603646 Method and apparatus for power optimization using don't care conditions of configuration bits in lookup tables
US Patent 7607116 Method and apparatus for verifying system-on-chip model
US Patent 7614024 Method to implement metal fill during integrated circuit design and layout
US Patent 7614031 Drawing apparatus with drawing data correction function
US Patent 7617465 Method and mechanism for performing latch-up check on an IC design
US Patent 7620931 Method of adding fabrication monitors to integrated circuit chips
US Patent 7624362 Circuit analysis device using processor information
US Patent 7631281 Method for modeling varactor by direct extraction of parameters
US Patent 7634749 Skew insensitive clocking method and apparatus
US Patent 7634751 Replacing single-cut via into multi-cut via in semiconductor integrated circuit design
US Patent 7634752 System and method for design entry and synthesis in programmable logic devices
US Patent 7636907 Balancing logic resource usage in a programmable integrated circuit
US Patent 7640529 User-friendly rule-based system and method for automatically generating photomask orders
US Patent 7657855 Efficient timing graph update for dynamic netlist changes
US Patent 7657864 System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US Patent 7661084 Implementing memory read data eye stretcher
US Patent 7665055 Semiconductor apparatus design method in which dummy line is placed in close proximity to signal line
US Patent 7665057 Method for calculating optimal length of trace between adjoining bends and computer accessible storage media
US Patent 7669167 Rule based system and method for automatically generating photomask orders by conditioning information from a customer's computer system
US Patent 7669172 Pattern creation method, mask manufacturing method and semiconductor device manufacturing method
US Patent 7673259 System and method for synthesis reuse
US Patent 7681159 System and method for detecting defects in a semiconductor during manufacturing thereof
US Patent 7685541 Translation of high-level circuit design blocks into hardware description language
US Patent 7689940 Method and apparatus for allocating data paths
US Patent 7689960 Programmable via modeling
US Patent 7694242 System and method of replacing flip-flops with pulsed latches in circuit designs
US Patent 7694243 Avoiding device stressing
US Patent 7694250 Method for design and verification of safety critical systems
US Patent 7694266 Method and apparatus for dynamic frequency voltage switching circuit synthesis
US Patent 7703056 Circuit designing program and circuit designing system having function of test point insertion
US Patent 7703063 Implementing memory read data eye stretcher
US Patent 7707527 Huygens' box methodology for signal integrity analysis
US Patent 7707533 Data-mining-based knowledge extraction and visualization of analog/mixed-signal/custom digital circuit design flow
US Patent 7707539 Facilitating process model accuracy by modeling mask corner rounding effects
US Patent 7721248 Circuit element function matching despite auto-generated dummy shapes
US Patent 7725844 Method and circuit for implementing eFuse sense amplifier verification
US Patent 7730435 Automatic test component generation and inclusion into simulation testbench
US Patent 7735045 Method and apparatus for mapping flip-flop logic onto shift register logic
US Patent 7739643 Crosstalk noise reduction circuit and method
US Patent 7743355 Method of achieving timing closure in digital integrated circuits by optimizing individual macros
US Patent 7747978 System and method for creating a focus-exposure model of a lithography process
US Patent 7752591 Board layout check apparatus and board layout check method for guard wiring
US Patent 7752595 Method for verifying and correcting post-OPC pattern layout
US Patent 7757197 Method and apparatus for utilizing constraints for the routing of a design on a programmable logic device
US Patent 7761835 Semiconductor device design method, semiconductor device design system, and computer program for extracting parasitic parameters
US Patent 7765505 Design rule management method, design rule management program, rule management apparatus and rule verification apparatus
US Patent 7765508 Method and system for generating multiple implementation views of an IC design
US Patent 7765510 Method of searching for wiring route including vias in integrated circuit
US Patent 7765512 Relocatable circuit implemented in a programmable logic device
US Patent 7765517 Method and apparatus for removing dummy features from a data structure
US Patent 7765518 System and method for implementing optical rule checking to identify and quantify corner rounding errors
US Patent 7774725 Computationally efficient modeling and simulation of large scale systems
US Patent 7774733 Method and apparatus for user interface in home network and electronic device and storage medium therefor
US Patent 7774734 Enhanced reach-based graph processing using shortcuts
US Patent 7784010 Automatic routing system with variable width interconnect
US Patent 7788618 Scalable dependent state element identification
US Patent 7788624 Methods of balancing logic resource usage in a programmable logic device
US Patent 7793237 System, structure and method of providing dynamic optimization of integrated circuits using a non-contact method of selection, and a design structure
US Patent 7793238 Method and apparatus for improving a circuit layout using a hierarchical layout description
US Patent 7793248 Method and apparatus for parameterizing hardware description language code in a system level design environment
US Patent 7797652 Implementing integrated circuit yield estimation using voronoi diagrams
US Patent 7802225 Optical proximity correction method, optical proximity correction apparatus, and optical proximity correction program, method of manufacturing semiconductor device, design rule formulating method, and optical proximity correction condition calculating method
US Patent 7805693 IC chip design modeling using perimeter density to electrical characteristic correlation
US Patent 7814441 System and method for identifying original design intents using 3D scan data
US Patent 7818698 Accurate parasitic capacitance extraction for ultra large scale integrated circuits
US Patent 7818708 Method and system for developing post-layout electronic data automation (EDA) applications
US Patent 7823106 Variable performance ranking and modification in design for manufacturability of circuits
US Patent 7823117 Separating a high-level programming language program into hardware and software components
US Patent 7823118 Computer readable medium having multiple instructions stored in a computer readable device
US Patent 7836422 System, method and apparatus for optimizing multiple wire pitches in integrated circuit design
US Patent 7840924 Apparatus, method, and program for verifying logic circuit operating with multiple clock signals
US Patent 7844927 Method for quality assured semiconductor device modeling
US Patent 7849432 Shallow trench isolation dummy pattern and layout method using the same
US Patent 7849435 Utilizing multiple test bitstreams to avoid localized defects in partially defective programmable integrated circuits
US Patent 7853919 Modeling mask corner rounding effects using multiple mask layers
US Patent 7856608 Method and apparatus for generating current source noise model for creating semiconductor device model used in power supply noise analysis
US Patent 7865856 System and method for performing transistor-level static performance analysis using cell-level static analysis tools
US Patent 7873927 Partitioning a large design across multiple devices
US Patent 7877715 Method and apparatus to use physical design information to detect IR drop prone test patterns
US Patent 7882453 Semiconductor device metal programmable pooling and dies
US Patent 7882455 Circuit and method using distributed phase change elements for across-chip temperature profiling
US Patent 7882460 Method of circuit power tuning through post-process flattening
US Patent 7882461 Method for optimized automatic clock gating
US Patent 7882467 Test pattern evaluation method and test pattern evaluation device
US Patent 7886245 Structure for optimizing the signal time behavior of an electronic circuit design
US Patent 7886247 Method and apparatus for statistical path selection for at-speed testing
US Patent 7886257 Methods for hierarchical noise analysis
US Patent 7886258 Method and apparatus for removing dummy features from a data structure
US Patent 7890895 Determination of values of physical parameters of one or several components of an electronic circuit or of a microelectro-mechanical system
US Patent 7890900 Various methods and apparatuses for effective yield enhancement of good chip dies having memories per wafer
US Patent 7890914 Layout data reduction for use with electronic design automation tools
US Patent 7895538 System and method for providing a common instruction table
US Patent 7895544 Method to graphically identify registers with unbalanced slack as part of placement driven synthesis optimization
US Patent 7895547 Test pattern based process model calibration
US Patent 7895559 Method for designing structured ASICs in silicon processes with three unique masking steps
US Patent 7904859 Method and apparatus for determining a phase relationship between asynchronous clock signals
US Patent 7904860 Method and apparatus for selecting programmable interconnects to reduce clock skew
US Patent 7913199 Structure for a duty cycle correction circuit
US Patent 7913201 Structure for estimating power consumption of integrated circuitry
US Patent 7917871 Method and program for pattern data generation using a modification guide
US Patent 7917875 Clock tree adjustable buffer
Edits on 7 Dec, 2021
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7917875 Clock tree adjustable buffer
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7917871 Method and program for pattern data generation using a modification guide
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7913201 Structure for estimating power consumption of integrated circuitry
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7913199 Structure for a duty cycle correction circuit
Edits on 7 Dec, 2021
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7904860 Method and apparatus for selecting programmable interconnects to reduce clock skew
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7904859 Method and apparatus for determining a phase relationship between asynchronous clock signals
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7895559 Method for designing structured ASICs in silicon processes with three unique masking steps
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7895538 System and method for providing a common instruction table
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7895547 Test pattern based process model calibration
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7895544 Method to graphically identify registers with unbalanced slack as part of placement driven synthesis optimization
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7890914 Layout data reduction for use with electronic design automation tools
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7890900 Various methods and apparatuses for effective yield enhancement of good chip dies having memories per wafer
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7890895 Determination of values of physical parameters of one or several components of an electronic circuit or of a microelectro-mechanical system
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7886258 Method and apparatus for removing dummy features from a data structure
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7886257 Methods for hierarchical noise analysis
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7886247 Method and apparatus for statistical path selection for at-speed testing
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7886245 Structure for optimizing the signal time behavior of an electronic circuit design
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7882467 Test pattern evaluation method and test pattern evaluation device
Golden AI
edited on 6 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7882461 Method for optimized automatic clock gating
Load more
Find more people like Naum B Levin
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
By using this site, you agree to our
Terms of Service
.
SUBSCRIBE